半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期

54次阅读

来源:金融界网

作者:中金点睛 彭虎石晓彬唐宗其

我们认为随着 5G、AIOT 等行业的兴起,国产 FPGA 厂商竞争力提升,有望迎来业绩的爆发期。FPGA 相比较于其他通用逻辑器件或者 ASIC,在灵活性、小规模部署成本方面有优势,正好满足了 5G 通信业务灵活部署、AIOT 市场长尾碎片化的需求。2020 年是我国 5G 规模建设开启元年,处于全球领先地位,推动国内相关 AIOT、工业控制等行业蓬勃发展,拉动 FPGA 需求。我们认为,从产品上看国内厂商在中低端产品领域已逐步接近国外龙头企业,随着高端产品不断突破,应用场景和案例的持续迭代,有望快速提升竞争力。

摘要

FPGA 在灵活性、性能、功耗、成本之间具有较好的均衡性。1)FPGA 属于硬件可重构的芯片结构,对于技术更替变化快或者需要满足应用功能灵活变化的环节适用性更强。2)FPGA 是专用电路中开发周期最短、应用风险最低的器件之一,部分客户无需投资研发即可获得适用 FPGA 芯片,因此对于需求量暂时较低规模化还不显著的领域,FPGA 性价比更高。3)FPGA 芯片内部可按照数据包步骤数量搭建相对应的流水线,从而实现数据并行、流水线并行,具有较强的算力,在部分应用场景亦有功耗和时延优势。

解决下游应用痛点,需求快速增长。1)网络通信领域是 FPGA 下游应用最广泛场景,有效实现大量高速数字信号处理功能,同时 5G 灵活部署、业务多样化增加对 FPGA 的需求。2)工业控制领域集成化与安全性要求不断提高,FPGA 可帮助实现更智能化、高性能、低能耗的解决方案。3)依托时延优势组成数据中心加速层,剩余资源可部署神经网络,我们看到微软已开始使用这一方案。4)据 Frost&;;Sullivan,2020 年全球 FPGA 市场规模达 60.8 亿美元,2021-2025 年 CAGR 为 16.4%,2025 年市场规模 125.8 亿美元;中国 FPGA 市场从 2016 年 65.5 亿元增长至 2020 年 150.3 亿元,未来中国 FPGA 市场需求量有望持续扩大,预计 2025 年市场规模将达 332.2 亿元。

目前国产 FPGA 产品以中低密度产品为主,高端产品不断突破。目前活跃在市场的国产 FPGA 产品以中低密度产品为主,架构大多采取 LUT+ 布线概念,中高密度 FPGA 的技术水平与国际领先商相比仍有差距,同时部分厂商已经有所突破形成追赶态势,像紫光同创、高云半导体、复旦微等均有 28nm 千万门级以上产品推出。

风险

5G 建设不及预期、云数据中心部署不及预期、FPGA 研发进展不及预期。

正文

FPGA 芯片:兼具灵活性和性能的半定制化集成电路

FPGA:基于可编程器件发展而来的现场可编程门阵列

FPGA(Field Programmable Gate Array),即现场可编程门阵列,最初起源于 Xilinx 公司,该公司于 1985 年推出世界首款 FPGA 芯片“XC2064”。FPGA 芯片是基于 PAL、GAL、CPLD 等可编程器件发展而来,既解决了传统可编程器件门阵列数有限的缺点,具备更大的规模容量进而提高性能;同时现场可编程的特点帮助其克服了定制电路(例如 ASIC 芯片)灵活性不足的缺陷。

图表:FPGA 发展历程

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图

资料来源:电子发烧友网,中金公司研究部

从结构来看,FPGA 芯片由逻辑单元块以矩阵方式排列,并通过可编程的互联资源连接来实现不同功能的设计。FPGA 芯片主要包含三大部分:可编程逻辑块(CLB)、可编程输入输出单元(I/O)以及互连布线资源。

图表:FPGA 主要组成结构

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图1

资料来源:《Performance Analysis of Nanoelectromechanical Relay-Based Field-Programmable Gat》,Tian Qin 等,中金公司研究部

在 FPGA 的内部结构中,可编程逻辑块(CLB)是 FPGA 内部最重要的资源,能够反映出不同 FPGA 在结构上的差异,帮助 FPGA 实现可编程。以 Xilinx 7 系列为例,其 CLB 包含两片 Slices,每个 Slice 的基本单元由查找表(LUT),查找表本质上是随机存储器(RAM),因此可以将 FPGA 视为一个静态存储器(SRAM)。当用户通过 HDL 语言等方式描述一个逻辑电路后,FPGA 开发软件会计算出逻辑电路的所有结果并存入 RAM 中,当用户输入信号进行逻辑运算时则近似于输入地址进行查表,从而输出运算结果。从这个角度来看,FPGA 能够实现可编程的基础原因在于:理论上来说,只要扩大存储容量并增加输入信号线,查找表可以实现任意多逻辑函数的输入和运算。

图表:Xilinx 7 系列 FPGA 中每个 CLB 中包含 8 个查找表(LUT)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图2

资料来源:Xilinx 官网,CSDN,中金公司研究部

FPGA 芯片主要具备以下特点:

·设计灵活,FPGA 属于硬件可重构的芯片结构,内部具有数量丰富的可编程输入输出单元引脚及触发器;

·适用便捷,FPGA 是专用电路中开发周期最短、应用风险最低的器件之一(部分客户无需投资研发即可获得适用 FPGA 芯片);

·并行计算,FPGA 芯片内部可按照数据包步骤数量搭建相对应的流水线,从而实现数据并行、流水线并行;

·高兼容性,FPGA 可与 CMOS 等大规模集成电路兼容,进行协同工作。

基于上述特点,FPGA 芯片早期作为 ASIC 芯片的半定制化电路替代品应用于部分场景中,近年来,随着微软、亚马逊等头部互联网企业不断加大数据中心建设,FPGA 芯片的应用范围也不断拓宽。

FPGA 在灵活性、性能、功耗、成本之间具有较好的平衡性

相较于 CPU,FPGA 并行计算能力可提升运算速率并降低时延。CPU 的本质是利用大规模存储器在时间维度内复用处理单元,并在强大逻辑数据库支持下实现更多应用逻辑,但同时也会失去处理单元的并行处理能力。

相较于 GPU,FPGA 在功耗和灵活性等方面具备优势。一方面,由于 GPU 采用大量的处理单元并且大量访问片外存储 SDRAM,其计算峰值更高,同时功耗也较高,FPGA 的平均功耗(10W)远低于 GPU 的平均功耗(200W),可有效改善散热问题;另一方面,GPU 在设计完成后无法改动硬件资源,而 FPGA 根据特定应用对硬件进行编程,更具灵活性。机器学习使用多条指令平行处理单一数据,FPGA 的定制化能力更能满足精确度较低、分散、非常规深度神经网络计算需求。

相较于 ASIC 芯片,FPGA 在项目初期具备短周期、高性价比的优势。ASIC 需从标准单元进行设计,当芯片的功能及性能需求发生变化时或者工艺进步时,ASIC 需重新投片,由此带来较高的沉没成本以及较长的开发周期;而 FPGA 包括预制门和触发器,具有编程、除错、再编程和重复操作等优点,可实现芯片功能重新配置,因此早期 FPGA 常作为定制化 ASIC 领域的半定制电路出现,被业内认为是构建原型和开发设计的较快推进的路径之一。

图表:FPGA 与 ASIC 经济成本比较

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图3

资料来源:半导体行业联盟,中金公司研究部

图表:FPGA 和 ASIC 综合对比

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图4

资料来源:《Field Programmable Gate Arrays for Military Application》,中金公司研究部

专用 EDA 软件等构筑核心壁垒

FPGA 芯片需要软硬件协同发展实现功能配置,FPGA 专用 EDA 软件工具的编程复杂性以及专用性构筑差异化竞争优势。从设计流程上来看,FPGA 与其他芯片的前端设计流程是相同的,也需要用到广义的通用 EDA,但当芯片设计基本完成后,FPGA 需要通过软件工具中的流程将用户写好的程序编译到 FPGA 中从而实现相应的功能。FPGA 专用软件工具是一系列 EDA 的组合,从设计流程来看主要包括以下步骤:电路设计、逻辑综合、设计实现(工艺映射、布局布线等)、仿真模拟和编程下载等。

图表:FPGA 软件工具设计流程图

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图5

资料来源:紫光同创官网,中金公司研究部

在 FPGA 软件工具设计流程中,除部分前端流程可借助第三方工具外,其余环节基本需要 FPGA 芯片厂商进行自主研发覆盖,存在较高技术壁垒。在布局布线中,由于需要通过 FPGA 专用 EDA 软件将电路描述为芯片配置信息,因此这一环节必须利用 FPGA 芯片的内部结构信息。

从国内外 FPGA 厂商软件工具研发情况来看:国外厂商方面,Xilinx 最新推出 Vitis 统一软件平台,帮助用户进行软件开发;Altera 提供可编程逻辑的设计工具 Quartus II;Lattice 软件工具为 Diamond。国内厂商方面,紫光同创研发出支持千万门级 FPGA 设计的 Pango Design Suite。

图表:部分国内外 FPGA 厂商软件工具研发情况

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图6

资料来源:各公司官网,中金公司研究部

FPGA 软硬件相互驱动,特别是在高端大规模 FPGA 芯片领域,FPGA 的设计流程往往是软件先行,甚至可以说软件工具研发水平对硬件规模和性能所能达到的高度有很大影响。为了在应用端最大限度地发挥硬件性能,FPGA 厂商在软件工具编程设计时,除了需要考虑与芯片硬件架构的匹配和一致性,还要考虑应用场景多样性、时序模型的复杂性和用户使用体验及效率等,特别是对于逻辑综合、布局布线为代表的核心环节,研发难度较高,国内 FPGA 厂商相较于海外龙头企业仍有差距。

FPGA 应用领域:“3+3”应用场景,下游不断拓展

三大核心应用场景:通信、工业、数据中心

通信领域:FPGA 加强通信设备并行处理能力,5G 开启新兴增量空间

网络通信领域是 FPGA 下游应用最广泛场景,有效实现大量高速数字信号处理功能。FPGA 目前被大量应用在有线及无线通信设备中,实现接口扩展、逻辑控制、数据处理、单芯片系统等功能。在无线通信系统中,许多功能模块通常都需要大量的滤波运算,这些滤波函数往往需要大量的乘和累加操作,FPGA 芯片内在的分布式逻辑和运算单元结构使其可以较容易地实现分布式的算法结构,因此可以有效地实现这些乘和累加操作,使其可以实现通信过程中大量的高速数字信号处理功能。

Massive MIMO 技术创新,多通道波束成型中 FPGA 灵活性凸显。为了增强信号覆盖及频谱效率,大规模天线(Massive MIMO)成为 5G 引入的关键技术之一。Massive MIMO 技术下,收发通道数由 16T16R 提高到 64T64R 甚至 128T128R,同时需要对每个天线单元接受到的信号进行数字处理,由此在自适应波束成形中产生了大量的计算负载。FPGA 在 I /O、运算速度及延迟上比 CPU、DSP 更具优势,在多通道波束成形中 FPGA 更具有灵活性。

图表:FPGA 在 5G 领域的应用

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图7

资料来源:芯师爷,中金公司研究部

工业控制:集成化与安全性要求提高,FPGA 提供成本有效性方案

FPGA 可帮助工业应用实现更智能化、高性能、低能耗的解决方案。以数控机床马达为例,与传统只能控制单一马达的专用芯片相比,基于 FPGA 的多通道马达控制能够实现:1)在增加以太网连接能力的同时不增加额外的器件,2)可使用灵活方法去控制多个马达和差异化的产品,3)实现支持马达控制和现场总线连接能力的单芯片方案;目前世界工业电力的 2 / 3 都用来驱动电机,我们认为,随着以自动化为核心要素的智能无人工厂的发展,未来各类能精准控制马达且可在单一芯片上实现多马达控制的 FPGA 将不断渗透。

图表:Altera Cyclone series:基于 FPGA 的工业以太网解决方案

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图8

资料来源:联诠科技,中金公司研究部

图表:Altera CyloneIII:基于 FPGA 的电机控制解决方案

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图9

资料来源:联诠科技,中金公司研究部

数据中心:依托低时延优势,FPGA 构成数据中心加速层

FPGA 组成数据中心加速层,剩余资源可部署于神经网络,产品形态主要为加速卡。FPGA 芯片在数据中心领域主要用于硬件加速,位于网络交换层与传统服务器软件(CPU 层面运行软件)之间。加速层通过众多 FPGA 支撑虚拟网络模型计算任务,使得硅片内存完整加载模型权重,突破 DRAM 性能瓶颈,同时剩余资源可用于深度神经网络等计算任务。FPGA 在数据中心领域主要产品形态为加速卡(Xilinx 及英特尔在 FPGA 数据中心运算方面产品形态均为加速卡),与 CPU 搭配,把 CPU 的部分数据运算卸载至 FPGA,将部分需要实时处理 / 加速定制化的计算交由 FPGA 执行。

图表:FPGA 加速平面介于网络交换层和传统服务器软件之间

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图10

资料来源:MICRO 2016,中金公司研究部

图表:数据中心加速层剩余资源可部署于神经网络

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图11

资料来源:MICRO 2016,中金公司研究部

微软是第一个在公共云基础设施中部署 FPGA 的主要云服务提供商,从 Bing 到 Azure,微软在服务器中用 FPGA 代替传统 CPU。2015 年微软提出使用 FPGA 加速 Bing 搜索引擎的方案,每 8 块 FPGA 形成一条链,每块 FPGA 对应特定任务(提取特征 / 计算特征 / 计算文档得分),此方案下 Bing 的搜索结果排序性能提高了 1 倍,搜索时延大幅降低。2017 年微软云计算部门 Azure 引入 FPGA 加速处理实时数据,网络计算速度的提高使得网络及存储虛拟化 CPU 成本激增(网络计算速度提至 40Gbps,单位 CPU 核吞吐量仅 100Mbps),此方案可解决网络及存储虚拟化成本较高问题,且通过轻量级传输层,同一服务器机架时延可控制在 3 微秒内,触达同数据中心全部 FPGA 机架时延可控制在 20 微秒内。

图表:FPGA 加速 Bing 的搜索排序过程

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图12

资料来源:微软官网,中金公司研究部

图表:Azure 服务器部署 FPGA 的架构

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图13

资料来源:SmartNIC: Accelerating Azure’s Network with FPGAs on OCS servers,中金公司研究部

三大新兴应用场景:消费电子、汽车电子、人工智能

消费电子:FPGA 灵活支持接口转换,短开发周期特性匹配行业迭代周期

单一 FPGA 芯片可实现各种存储接口的控制,简化外围电路设计。消费电子产品中音视频信息需与运算芯片进行数据通信,以视频信息为例,摄像头需要将采集到的数据传递给计算芯片处理、将处理后的结果传递给屏幕进行显示等,由于设备内部信号协议都不尽相同,传统设计往往需多个专用接口芯片进行数据格式的转换,采用 FPGA 芯片方案后,单一 FPGA 芯片可以实现各种存储接口的控制,接口逻辑就都可以在 FPGA 芯片内部实现,大大简化了外围电路的设计。

类协处理器方案解决性价比问题,短开发周期特性匹配消费电子迭代周期。消费电子市场对产品性价比要求较为严苛,为实现性价比与差异化的平衡,消费电子厂商目前已形成一种类似协处理器、功能简单、功耗低、性价比高的以 FPGA 作为主芯片的解决方案。此外,消费电子产品迭代周期快,FPGA 芯片开发周期较短特点与消费电子板块匹配。

汽车电子:FPGA 在汽车领域应用覆盖广,头部厂商积极布局

FPGA 在汽车领域可应用于 ADAS、车载摄像头、传感器、激光雷达等,应用面广泛。由于 FPGA 具有强逻辑性,当汽车电子系统当中的逻辑单元较多时,采用 FPGA 技术能够将这些逻辑单元准确排列,提高汽车电子系统中逻辑单元的利用率;同时,由于 FPGA 内部结构较易实现分布式算法结构,对实现汽车电子中的高速数字信号处理十分有利。在系统接口及控制(驱动电控系统 / 连接各种车载设备 / 实现雷达信号处理等)、视频桥接和融合(多个图像传感器信号桥接 /3D 环视视频融合等)、辅助驾驶和自动驾驶(机器视觉与目标检测等)等领域,FPGA 为多种应用提供灵活低成本高性能解决方案。

全球巨头积极布局汽车电子,抢占智能驾驶赛道。FPGA 大厂赛灵思的汽车 FPGA 方案从 ADAS 向 AD 持续演进,在前视摄像头、激光雷达、成像雷达、传感器融合、计算加速平台等领域均有成功应用,截至 2019 年汽车领域器件出货量累计 1.7 亿片,激光雷达传感器市场赛灵思市场份额 90%以上。赛灵思 ADAS 从计算机视觉逐渐过渡到 AI 深度学习处理,2019 年赛灵思在开发者大会中预计前置摄像头在 2022-2023 年成为“标准功能”,同时二维雷达向成像雷达过渡,激光雷达技术高度分裂化 / 差异化,传感器探测目标范围将超过 300 米。

图表:安森美 Interline Transfer CCD 图像传感器采用 FPGA 方案

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图14

资料来源:中国半导体论坛,中金公司研究部

图表:Xilinx 在 FPGA 汽车领域覆盖面不断提高

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图15

资料来源:2019 赛灵思开发者大会,中金公司研究部

人工智能:高密度面向云侧并行运算需求,中低密度面向端侧推断任务转移

云端:FPGA 流水线并行结构,可以实现更高的并发处理。FPGA 可针对数据包步骤数量搭建同等数量流水线,数据包经多个流水线处理后可即时输出,无需像 GPU 一样数据单元一致输出,因此计算密集型任务(如图像处理、机器学习等)中,拥有流水线并行和数据并行的高密度 FPGA 效率更高。据赛灵思公布数据,赛灵思 reVISION 堆栈与英伟达 Tegra X1 对比,reVISION 堆栈在机器学习方面单位功耗图像捕获速度提升 6 倍,在计算机视觉处理的帧速率提升 42 倍,时延仅为 1 /5(毫秒单位)。

端侧:推断决策任务转至智能终端,中低密度 FPGA 的机会。随着智能终端对实时响应和多样化应用的需求,越来越多的推断任务被转移到端侧来完成。为实现推断任务的转移,通常对训练后的人工智能算法模型进行压缩,进而应用到推理环节,FPGA 芯片可实现快速推断决策的特点也使其可广泛应用于该领域。

FPGA 市场规模:通信需求主导,5G、AI、自动驾驶催化增量空间

FPGA 应用场景范围拓展,且部分应用场景不可替代性,FPGA 市场增量需求逐渐释放。全球 FPGA 市场规模潜力将逐渐释放,主要得益于 1)下游应用场景不断拓展:FPGA 芯片依托于高灵活性、开发周期短、节省流片成本与风险等特质,应用场景从通信收发器、消费电子逐步拓展至新兴高成长的汽车电子、数据中心、机器视觉等领域,存量与增量市场均存在扩容空间;2)部分应用场景中不可替代性强: 在技术尚未稳定、灵活度 / 并行计算能力要求高的场景中,FPGA 与其他逻辑芯片相比具有明显优势。

据 Frost&;;Sullivan,2020 年全球 FPGA 市场规模达 60.8 亿美元,2021-2025 年 CAGR 为 16.4%,2025 年市场规模 125.8 亿美元;中国 FPGA 市场从 2016 年 65.5 亿元增长至 2020 年 150.3 亿元,未来中国 FPGA 市场需求量有望持续扩大,预计 2025 年市场规模将达 332.2 亿元。

图表:2016-2025 年全球 FPGA 市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图16

资料来源:Frost&;;Sullivan,中金公司研究部

图表:2016-2025 年中国 FPGA 市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图17

资料来源:Frost&;;Sullivan,中金公司研究部

FPGA 市场呈现海外双寡头格局,但中国市场正在不断扩大,为本土厂商带来后发机会。2019 年全球超 85%的 FPGA 出货量来自 Xilinx 及 Altera,FPGA 全球市场呈现双寡头格局。近年来,由于 FPGA 下游不断拓宽,市场增量机会涌现,同时 5G、AI 市场未来增量大部分在亚洲,中国 5G 建设进度领先,因此我们认为 FPGA 的市场格局正在发生转变,中国 FPGA 厂商作为后发者迎来机会。

图表:2019 年全球 FPGA 芯片市场格局(按出货量)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图18

资料来源:Frost&;;Sullivan,中金公司研究部

图表:亚太地区是 FPGA 主要市场

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图19

资料来源:Market Research Future,中金公司研究部

5G 步入首轮全球建设期,中国 FPGA 通信领域市场增长。2019 年起,以韩、中、美、日为代表对于 5G 通讯的需求开始释放,5G 市场步入首轮建设期。据工信部,截至 1Q21 我国已累计建成 5G 基站超 81.9 万个,占全球比例约为 70%,我国 5G 技术与商用进程取得全球领先优势。通信是 FPGA 芯片下游最主要市场,随 5G 通信技术发展、基站天线收发器等硬件设备升级,中国 FPGA 市场需求增长强劲。据 Frost&;;Sullivan,中国 FPGA 通信领域市场 2020 年规模 62.1 亿元,预计 2025 年达 140.4 亿元。

图表:中国 FPGA 芯片应用场景市场规模(2020 年)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图20

资料来源:Frost&;;Sullivan,中金公司研究部

图表:全球 5G 商用地图

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图21

资料来源:通信产业网,中国信通院,Frost&;;Sullivan,中金公司研究部(统计截至 2021 年 5 月底)

工业 4.0 时代智能化无人工厂趋势,中国 FPGA 工业领域市场规模 2025 年将超过百亿元人民币。据中国信通院,2019 年,我国工业互联网产业经济总体规模为 2.13 万亿元,预计 2020 年总体规模达 3.1 万亿元,同比增长 47.9%。FPGA 工业市场是仅次于通信的第二大市场,工业 4.0 时代工业正在从以人力资源为核心要素转向以自动化为核心要素的智能化无人工厂,FPGA 实时性和高并行性价值凸显。据 Frost&;;Sullivan,中国 FPGA 工业领域市场 2020 年规模 47.4 亿元,预计 2025 年达 100.8 亿元。

图表:2016-2025 年中国 FPGA 工业领域市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图22

资料来源:Frost&;;Sullivan,中金公司研究部

FPGA 成为全球数据中心加速器市场中最高成长领域,中国 FPGA 数据中心市场不断扩大。据 Semico Research 预计,全球市场数据中心加速器市场将从 2018 年的 28.4 亿美元增长到 2023 年的 211.9 亿美元,年复合增长率 49.47%;其中,FPGA 预计将是年复合增长率最高的细分市场,该增长将由企业级工作负载加速应用对 FPGA 越来越多的采用而驱动。据 Frost&;;Sullivan,中国 FPGA 数据中心领域市场 2020 年规模 16.1 亿元,预计 2025 年达 34.6 亿元,2021-2025 年 CAGR 为 16.6%。

图表:2018-2023 年全球数据中心加速器 FPGA 市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图23

资料来源:Semico Research,中金公司研究部

图表:2016-2025 年中国 FPGA 数据中心领域市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图24

资料来源:Frost&;;Sullivan,中金公司研究部

自动驾驶领域快速增长,中国 FPGA 汽车领域市场未来 5 年 CAGR 达 22.7%。据智研咨询预计,2020 年国内自动驾驶渗透率接近 30%,至 2025 年达 60%左右。相较其他通用芯片方案,FPGA 方案在辅助驾驶视频分析功能中可采用超低延时精确算法,对来自车辆摄像机的实时视频输入信号进行分析,且 FPGA 方案可以在不进行重新设计的前提下实现重新编程。FPGA 的独特优势使其不断进入 ADAS、汽车信息娱乐系统等新兴汽车电子领域,成功应对了自动驾驶要求的快速演变。据 Frost&;;Sullivan,中国 FPGA 汽车领域市场 2020 年规模 9.5 亿元,预计 2025 年达 26.3 亿元,2021-2025 年 CAGR 22.7%为各细分领域中最高。

图表:2016-2025 年中国 FPGA 汽车领域市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图25

资料来源:Frost&;;Sullivan,中金公司研究部

全球 FPGA 人工智能市场 2023 年达 52 亿美元,中国未来有望发展为全球最大的人工智能市场。Semico Research 预测人工智能领域 FPGA 市场规模 2023 年有望达 52 亿美元,五年复合增速 38.4%。据艾媒咨询,2020 年中国人工智能行业核心产业市场规模超过 1500 亿元,预计在 2025 年将超过 4000 亿元,中国未来有望发展为全球最大的人工智能市场。FPGA 在人工智能领域处理效率及灵活性具有显著优势,且 CPU+FPGA+AI 融合架构的 PSoC 芯片不断深入发展,据 Frost&;;Sullivan,中国 FPGA 人工智能领域市场 2020 年规模 5.8 亿元,预计 2025 年达 12.5 亿元。

图表:2016-2025 年中国 FPGA 人工智能领域市场规模

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图26

资料来源:Frost&;;Sullivan,中金公司研究部

FPGA 主要厂商:海外巨头领先地位稳固,中国 FPGA 品牌自主研发破局

FPGA 芯片市场格局:Xilinx 和 Altera 垄断全球近 90%市场,国内市场亦为海外巨头主导。目前,FPGA 芯片行业呈现集中度较高的态势,1)全球市场:据 Frost &;;Sullivan,Xilinx 与 Altera 占据绝对份额,2019 年市占率分别 51.7%/33.7%;Lattice 与 Microsemi 位列第三 / 四,市占率 5.0%/4.0%。2)国内市场:据 Frost &;;Sullivan,以出货量口径统计,2019 年 Xilinx、Altera 和 Lattice 分别以 5,200 万颗 /3,600 万颗 /3,300 万颗位列前三,合计占据 85.2%的市场份额;以销售额口径统计,2019 年 Xilinx、Altera 和 Lattice 分别以 71.4 亿元 /46.7 亿元 /6.7 亿元的销售额位列市场前三位。

图表:2019 年中国 FPGA 芯片市场格局(按出货量)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图27

资料来源:Frost&;;Sullivan,中金公司研究部

图表:2019 年中国 FPGA 芯片市场格局(按销售额)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图28

资料来源:Frost&;;Sullivan,中金公司研究部

Xilinx:从 FPGA 芯片发明者到自适应计算的全球领导者

Xilinx 是 FPGA 及自适应计算技术(ACAP)的发明者。1985 年,Xilinx 发明出世界上首款 FPGA 芯片,由此占据市场先发优势,成为 FPGA 市场绝对龙头。近年来,Xilinx 在 FPGA 器件迭代基础上,不断加强 ACAP 技术研发,ACAP 可在软硬件两个层面随时进行更改,从而动态地适应数据中心、汽车、5G 无线、有线以及国防市场的广泛应用与工作负载需求,2018 年 Xilinx 推出的全球逻辑密度最高的 7nm 芯片平台 Versal 是 ACAP 的首款平台,将标量计算、可编程逻辑及矢量计算结合在一起,是革命性异构计算架构,增强了针对各种机器学习算法的适用性,也提高了计算密度和存储带宽。

图表:Xilinx 发展历程

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图29

资料来源:公司公告,中金公司研究部

图表:Xilinx 产品下游布局及营收结构(FY2021)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图30

资料来源:公司公告,中金公司研究部

数据中心优先战略下子业务板块迅速发展,汽车成为 Xilinx 下一个重要市场。2018 年 Xilinx 提出数据中心优先(Data center first)战略,同年推出 Alveo 加速器卡产品系列,实现了数据中心优先战略的先行落地。2021 财年 Xilinx 数据中心业务营收超 3 亿美元,同比增长 20%,是所有细分业务中增速最高板块。此外,Xilinx 于 2014 年起出货汽车领域器件,目前已涵盖全球所有主流的一级汽车供应商、原始设备制造商(OEM)及各种创企。Xilinx 提供从边缘传感器到领域专用控制器的灵活可扩展产品组合,基于动态功能切换(DFX,Dynamic Function eXchange)技术,赛灵思的动态可重编程芯片支持众多 ADAS 和 AD 功能,可有效降低系统成本和功耗。

图表:Xilinx 数据中心业务营收同比增长 20%(FY2021)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图31

资料来源:公司公告,中金公司研究部

图表:Xilinx 汽车级器件发展路线图

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图32

资料来源:公司官网,中金公司研究部

Altera:英特尔 XPU 异构愿景的重要布局

单一芯片算力受限,收购 Altera 是英特尔 XPU 重要布局。云计算和大数据带来数据爆发式增长,CPU 性能提升面临瓶颈,专用领域的众核处理器与 CPU 组成的异构计算平台成为全新解决方案。继 CPU+GPU 之后,英特尔选择将 CPU+FPGA 作为异构处理器下一重要布局。

携手主流 OEM 厂商,打造面向数据中心的 FPGA 生态系统。在收购 Altera 之后,2017 年英特尔推出面向至强 CPU 和 FPGA 的加速堆栈,同时联合 FPGA 加速器硬件开发商、系统集成商及软件应用集成商,合力打造不同垂直领域的加速方案建设。2018 年戴尔和富士通宣布,在其服务器中采用英特尔的基于 Arria 10 GX FPGA 的可编程加速卡(PAC),英特尔依托强大的生态系统,与主流 OEM 厂商共同打造 FPGA 在数据中心中的主流应用场景。

图表:英特尔 FPGA 加速卡发展历程

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图33

资料来源:英特尔 FPGA 中国创新中心,中金公司研究部

Lattice:专注低功耗、小尺寸、易用性 FPGA 解决方案

提供市场领先的低功率、小型号 FPGA 产品,在双寡头市场中差异化竞争。在 Xilinx 与 Altera 双寡头格局下,Lattice 选择中端切入策略,从而与已经在高端及低端市场构筑高壁垒的两巨头进行差异化竞争。低功耗一直是 Lattice FPGA 的产品标签,在到达 28nm 工艺节点之后,Lattice 更加注重性能和功耗的平衡。2021 年 7 月 Lattice 最新推出的 CertusPro?-NX 通用 FPGA 系列产品,仍然采取 28nm 工艺,但功耗效率得到大幅提高,且实现在最小封装尺寸中提供最高带宽,是同类产品中唯一支持 LPDDR4 外部存储器的 FPGA。

图表:Lattice 专注于中小尺寸 FPGA

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图34

资料来源:IDC,公司公告,中金公司研究部

图表:Lattice Certus-NX 功耗比同类竞品 FPGA 低四倍

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图35

资料来源:公司公告,中金公司研究部

未来继续定位于边缘计算、智慧互联等中小规模 FPGA 应用。Lattice 表示,未来将继续定位在边缘计算、汽车或者工业等中小规模 FPGA 上的应用,专注于低功耗,主要针对智能、互联和安全方面优化的终端设备,为包括智慧城市、智慧家庭、智能汽车和智慧工厂等网络边缘的终端设备提供智能解决方案,与在云端根深于深度学习应用的 Altera 和 Xilinx 继续保持差异化定位。

图表:Lattice 营收结构、下游应用市场及未来增长驱动力(2020 年)

半导体算力系列一:契合下游应用新场景,国产FPGA步入加速期插图36

资料来源:公司公告,中金公司研究部

本土企业主攻中低密度市场,硬件性能指标与巨头差距较大

目前国产 FPGA 产品以中低密度产品为主。上世纪 90 年代开始,国产 FPGA 经历了从反向设计走向开始正向设计的时式,2017 年国产 FPGA 正式迈入完备正向设计阶段。目前活跃在市场的国产 FPGA 产品以中低密度产品为主,架构大多采取 LUT+ 布线概念,中高密度 FPGA 的技术水平与国际领先商相比,在硬件设计和软件方面还有较大的差距。

紫光同创:国内唯一具备自主产权千万门级高性能 FPGA 研发能力的企业。公司成立于 2013 年,是紫光国微(002049.SZ)参股公司,员工总人数超过 500 人,拥有专利超过 300 项、核心专利占比超过 80%。2015 年发布 Titan PGT30G,是中国首款自主知识产权 300 万门级 FPGA;同时推出自主知识产权的大规模 FPGA 开发软件 Pango Design Suite,可支持千万门级器件设计开发。

复旦微电子:推出自主知识产权亿门级 FPGA 产品。公司成立于 1998 年,FPGA 类芯片聚焦在 SRAM 型 FPGA,拥有千万门级 FPGA 芯片(65nm 制程,50K 容量)、亿门级 FPGA 芯片(28nm 制程,700K 容量)以及嵌入式可编程器件 PsoC(国内首款推向市场的嵌入式可编程 PSoC 产品,28nm 制程)三大产品线,14/16nm 工艺制程的 10 亿门级 FPGA 产品在研。

高云半导体:中低密度产品密集推出,产品优势高速积累。公司成立于 2014 年,1Q15 即量产国内首颗产业化 55nm 工艺 400 万门的中密度 FPGA 芯片,1Q16 顺利推出国内首颗 55nm 嵌入式 Flash SRAM 的非易失性 FPGA 芯片;截至 2019 年 3 月底,高云半导体出货量累计出货 1500 万片,成功进入工业、车载、通信、家电、消费及 IoT 等领域,是国内首家提供车规芯片的 FPGA 厂家。目前形成晨熙(中密度 FPGA 代表)、小蜜蜂(以非易失性为特色)两大系列产品,拥有自主知识产权 FPGA 开发套件云源软件。

举报 / 反馈

原文链接:https://baijiahao.baidu.com/s?id=1707937528675267572&wfr=spider&for=pc

正文完
 
追风者
版权声明:本站原创文章,由 追风者 2024-01-02发表,共计12758字。
转载说明:声明:本站内容均来自互联网,归原创作者所有,如有侵权必删除。 本站文章皆由CC-4.0协议发布。